HDL是什么意思?

来源:百度知道 编辑:UC知道 时间:2024/07/16 00:45:59

HDL(Hardware Description Language),是硬件描述语言。顾名思义,硬件描述语言就是指对硬件电路进行行为描述、寄存器传输描述或者结构化描述的一种新兴语言。
HDL文本输入 硬件描述语言是用文本的形式描述硬件电路的功能,信号连接关系以及时序关系。它虽然没有图形输入那么直观,但功能更强,可以进行大规模,多个芯片的数字系统的设计。常用的HDL有ABEL,VHDL和Verilog HDL等。
主流的HDL分为VHDL和Verilog HDL。VHDL诞生于1982年。在1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言。自IEEE公布了VHDL的标准版本,IEEE- 1076(简称87版)之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。

HDL(Hardware Description Language),是硬件描述语言

HDL(high density lipoprotein),即高密度脂蛋白
详情:
http://baike.baidu.com/view/115464.html?wtp=tt