Quartus II 警告:Duplicated nodes are not inserted.

来源:百度知道 编辑:UC知道 时间:2024/07/07 00:29:16
在使用QUartus II时,出现警告如下:Warning: Creation of Node or Bus "suma[0]" failed. Duplicated nodes are not inserted. Node or bus name "suma[0]" already exists.
不知道如何解决,请指点。

第一个是说你有四个管脚没有分配,程序里面没有分配管脚
第二是说他默认将未分配的管脚设置为接地

When you're inserting the nodes, do you insert both C and C[0], C[1], C[x]. If you're, then probably you will get these warning messages. C[0], C[X] are duplicated because you have already inserted the whole array C.

Insert C or C[0], C[1], C[x] but not both of them. Hope it solves your problems