fpga 8分频代码

来源:百度知道 编辑:UC知道 时间:2024/09/23 01:34:59
要用到FPGA的8分频代码,可是从来没写过,网上也没找到,据说很简单可是隔行如隔山啊!哪位高人可以帮一下忙啊。谢谢谢谢!

用verilog实现的:
module div(
input clk,rst
output logic div_clk);
logic[2:0] cnt;
always(posedge clk or negedge rst)
if(rst!=1) {cnt,div_clk}<=0;
else if(cnt==3'd8) begin
div_clk<=~div_clk;
cnt<=0;
end
else
cnt<=cnt+1;
endmodule