VHDL 的问题,急!

来源:百度知道 编辑:UC知道 时间:2024/09/21 10:53:17
是这样,我要达成这么个目的: 一开始我输入一段32bit的二进制数,接下来我需要用这32位中的某连续几位(比如 0~5位)来作为一个SIGNAL做其他事情。

举例:一开始输入 00100101010101......(共32bit),接下来我只需要其中开头的5bit,即00100 来派其他用处。

虽然我知道用LOOP能达成这个目的,但是想请教各位有没有什么指令能直接完成这个操作??

一个简单的VHDL的例子:(12位寄存器)
--- VHDL Example
-- User-Defined Macrofunction
ENTITY reg12 IS
PORT(
d : IN BIT_VECTOR(11 DOWNTO 0);
clk : IN BIT;
q : OUT BIT_VECTOR(11 DOWNTO 0));
END reg12;
ARCHITECTURE a OF reg12 IS
BEGIN
PROCESS
BEGIN
WAIT UNTIL clk = '1';
q <= d;
END PROCESS;
END a;

其实我也不知道,过来学习一下...