用verilog语言实现的nand flash

来源:百度知道 编辑:UC知道 时间:2024/09/18 05:11:12
实现三星K9系列NAND FLASH的读写

楼主,你对题目的意思理解错了。nand flash是不能用FPGA这种半定制器件做的。
我明白你的意思,你需要做的是,用verilog实现一个nand flash的接口驱动程序,也就是按照nand flash的时序,来实现一个接口,让其能工作起来。
有不明白的,在联系哈,谢谢~~~

这种题目太可笑了
用verilog实现其行为 并给出逻辑门搭建的阵列是很简单
但是在不涉及任何工艺的情况下, K9 Flash(本身指的是利用浮栅晶体管雪崩效应写入 隧传效应成批擦出的一种工艺) 没有任何意义
何况对于存储器这种阵列逻辑 没有用verilog 来半定制设计的道理
从来都是针对foundry工艺库给定的宏进行配置来直接生成可用的各种模型

行为模型的话 只要你清楚nand flash的工作原理就行了 很容易