有没人会VHDL语言编程啊,请教大虾们啊!!急急急急!!!

来源:百度知道 编辑:UC知道 时间:2024/07/05 03:16:03
VHDL实现快速傅立叶变换(FFT),要包括碟形运算,随机存储器,只读存储器,控制复位电路,要仿真实现波形。要求用quartus6.0实现
印度圣雄甘地大学M.A.学院提供
里面提供的代码很多都是错误的,基本是不能用的
如果是相同的就不要发了

http://www.ec66.com/downloadtemp/synth_fft.zip
一篇用VHDL实现快速傅立叶变换的论文,包括原理分析和代码实现,印度圣雄甘地大学M.A.学院提供
软件大小:62K

快速傅里叶变换的VHDL实现

呃,这篇论文地址可能失效了,但是网上还有,可以自己搜搜。

这个也太复杂了点吧