max+plus运行VHDL文件时出错

来源:百度知道 编辑:UC知道 时间:2024/09/23 01:19:59
错误提示是:TDF syntxa error:Expected ASSERT,CONSTANT,DEFINE,DESIGN,FUNCTION,IF,OPTIONS,PARAMETERS,SUBDESIGN,or TITLE but found a symbolic name "library"
请问这这是什么原因?我运行了几个程序都是这样

TDF文件的语法错误:预计断言,常量定义,设计,功能,如果选择,参数, SUBDESIGN ,或题目,但发现一个象征性的名称为“LIBRARY”
估计语言有错、、

应该是程序输入之后没有建立目标文件。点击file--project--set project to current file,再次编译一下应该就好了。

华盈syntxa错误:预期断言,常量定义,设计,功能,如果选择,参数, SUBDESIGN ,或所有权,但发现一个象征性的名称为“图书馆”