关于自动计数电路的程序(vhdl)

来源:百度知道 编辑:UC知道 时间:2024/06/30 15:11:26
设计一个自动计数并译码显示的电路,具体要求如下:
计数器的计数范围为0~99,计数频率为0.5Hz(已知外接晶体频率为1MHz);
计数值显示在扫描型4位(七段)数码管上,故只用其最低两位即可。
各模块要求用VHDL语言描述,总的设计可采用原理图或VHDL语言描述均可。

关于eda只上过几次实验课程,知道maxplus的基本使用方法,但这个题目实在是让我搞不懂,希望高手能帮写哈,或者能够解释一下要求也行,先谢谢了,如果答案满意的话,可以给500分
这两个回答貌似太那个了吧

外接晶体频率为1MHz,所以计数1000000次时间为1s,所以0.5hz只要计数500000次,显示数字加1,并且计数器清0,再从头开始计数,到500000次再加1...重复以上的步骤显示数字到99时清0;
所以你需要设计一个计数的process,计数到500000时,更新一下显示数字.
另一个是2位(00-99)七段led的显示process,不停的锁存输出数据,刷新两位数字的显示,这个刷新频率可能需要你自己测试一下,过快过慢可能都会影响显示效果.
呵呵,不知道我有没有说清楚,这只是一个简单的思路.希望对你能有所帮助.

设计干嘛,买一个不就行了。

网上搜一下挺多的