跪求VHDL语言编程 题目:8个彩灯依次循环点亮,每次亮一个。电子设计自动化(EDA)

来源:百度知道 编辑:UC知道 时间:2024/09/28 15:28:46
电子设计自动化(EDA)课程设计,使用VHDL语言编程

刚好有一个以前做过的设计,已上传中转站,你提去看看是否合适
File Name:彩灯循环.rar (1.48KB)

http://www.91files.com/?ILCYCKPCXUXZ7URAWNQ5

等待一下,选择“Click here to Download”

在QuartusII7.0环境下做的