vhdl控制灯的闪烁

来源:百度知道 编辑:UC知道 时间:2024/09/28 12:21:09
请高手帮忙写一个vhdl程序,要求:传入s=1时,灯闪烁,s=0时,不闪烁。灯最好是每秒闪4下

--试试这个吧:

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;

ENTITY Light IS
PORT (CLK,S:IN STD_LOGIC; --CLK选4Hz,或选择其他频率再通过分频产生4Hz
LOUT:OUT STD_LOGIC);--LOUT为输出,用于控制灯的闪灭
END Light;

ARCHITECTRRE bhv OF Light IS
BEGIN
LOUT<=CLK WHEN S='1' ELSE '0’;
END bhv;

process(clk,s)
begin
if(clk'event and clk='1')then
if(s=1)then
temp<='1';
else
temp<='0';
end if;
else
temp<='0';
end if;
end process;
随手写的,不一定对,你可以试试,如果错了大伙帮忙纠正。

yout<=s&clk;
clk给4HZ搞定

你的晶振频率是多大