vhdl出错,谁能帮忙解决一下

来源:百度知道 编辑:UC知道 时间:2024/09/24 08:34:53
错误提示为:|Multiple non-tristate drivers for net pause_w in washer_statement
程序较长,如果需要看程序,请留个邮箱,谢谢

我做仪器驱动遇到过这个,是多个量在不同进程中同时对一个量进行控制导致的冲突 邮箱是LQ44199289@sina.com不过不一定有时间帮你看

我邮箱
gao.yukun@yahoo.com.cn试试

原始代码(注意两个process):
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

entity x08_pwr_status is
    port (
         x08_out           : out   std_logic_vector(7 downto 0);
         x08_write         : in    std_logic_vector(7 downto 0);
 address           : in    std_logic_vector(7 downto 0);