求max plus 2 10.0的lisence.dat

来源:百度知道 编辑:UC知道 时间:2024/09/23 18:26:32
我的邮箱liangfeng2003@yahoo.cn 需要修改啥告诉我操作方法。

学生版的。也就是有一些些限制,不过对于学生来说够用了。你试一试。
************************************ 07.07.16

原理图放不上来,那就来个硬件描述语言描述的吧

并行组合4位除法器

明天再写

************************************* 07.07.17

LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;

ENTITY div1 IS
PORT(
a,b : IN STD_LOGIC_VECTOR( 3 downto 0);
q,r : OUT STD_LOGIC_VECTOR( 3 downto 0)
);
END div1;

ARCHITECTURE a OF div1 IS

SIGNAL ta0,ta1,ta2,ta3 : STD_LOGIC_VECTOR( 6 downto 0);
SIGNAL bb0,bb1,bb2,bb3 : STD_LOGIC_VECTOR( 6 downto 0);
BEGIN

PROCESS (a,b)
BEGIN
ta3 <= "000" & a;
bb3 <= b & "000";
if ta3 >= bb3 then
q(3) <= '1';
ta2 <= bb3;
else
q(3) <= '0';
ta2 <= ta3;
END IF;
bb2 <=