VHDL中loop语句的问题,急求!!!!!!!!!!!!!!!!!1

来源:百度知道 编辑:UC知道 时间:2024/07/04 08:00:07
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity jifenqi is
port (clk:in std_logic;
rst,d1,d2,d3,d4:in std_logic;--按键

enled,shuma,en:out std_logic_vector(7 downto 0);
beer:out std_logic--蜂鸣器 );
end entity;
architecture beh of jifenqi is
type data is array (0 to 9) of std_logic_vector(7 downto 0);
signal count :integer range 0 to 99;
constant mystore:data:=("11000000","11111001","10100100","10110000","10011001","10010010","10000010","11111000","10000000","10010000");
signal qq:integer range 0 to 49999999;
signal jie:integer range 1 to 4;
signal miao,fen:integer range 0 to 60;
signal djs:integer range 0 to 24;
begin
process(clk,rst)
begin
if(rst='0')then

你这个程序怎么看都像是C写法,硬件描述语言完全不是这么用的。。。如果是希望count增加到10就产生一个信号的话可以直接写成

if( d1='0')then
if(count=10)then
count<=(others=>'0');
temp<='1';
else
count<=count+1;
temp<='0';
end if;
end if;