在Quartus II中如何将VHDL代码转换成可视图形

来源:百度知道 编辑:UC知道 时间:2024/09/22 21:21:59
也就是说把程序代码转换成为具体的实体电路图,并且加入他的元件库内,谢谢大侠~

  1. 把程序编译通过以后,打开VHDL文件,选【File】->【Creat/Update】->【Create Symbol Files for Current File】;

  2. 选【File】->【New】,在【Device Design Files】下选【Block Diagram/Schematic File】空白处双击,选择刚刚建立的符号名即可。

你把程序编译通过以后,在file下拉菜单里选create/updete项,在它的下拉菜单中选择“create symbol file for current file”这一项。就OK乐!

将代码编译后打包成模块

1、写个程序包
2、file选项中的create/updete 下拉菜单第2条指令就可以
3、就和use ieee.std_logic_1164.all;一样的定义