用VHDL设计4位同步二进制加法计数器,输入为时钟端CLK和异步清除端CLR,进位输出端为C